Monday, December 24, 2018

SECS/GEM Software Review

In addition to domain expertise and experience of many decades in Semiconductor, Solar (PV), Flat Panel Display, LED and other related Electronics industries, eInnoSys team has over 100 years of software design and development experience. Our team has designed very complex automation software systems that control and monitor multiple factories.

Having knowledge and experience of best practices in both software development and equipment software of many different kind, our team is best equipped to review your equipment software’s design and make recommendations to –
  • Improve quality and reliability of the software
  • Improve performance of the software
  • Make it more scalable, so that future modifications are less time-­‐consuming
  • and more robust
  • Help reuse code among multiple equipment platforms
  • Make certain software modules configurable so that they can be modified
  • without having to recompile and QA the code
More Details:-https://www.einnosys.com/integration-services/software-review/


Tuesday, December 11, 2018

Semi Conductor Equipment Communication Standard


As we talk about SEMI, SECS and GEM. Firstly let us understand what these acronyms are.
SECS refers to Semi Conductor Equipment Communication Standard, GEM refers to Generic Equipment Model for Communications and Control of Manufacturing Equipment and SEMI stands for Semiconductor Equipment Materials Initiative.
Semiconductor Equipment Materials Initiative ( SEMI ) developed a set of standards called SECS/GEM. These standards are used to define a set of communication protocols between automated equipment and the hosts.



SEMI standards came into existence somewhere in 1970’s. The idea behind introduction of such a standard was to support the small but blooming semiconductor industry. SEMI brought a standard in communication protocol between various semiconductor equipment and fab hosts. This eliminated the need for the communication specification that were equipment or fab host specific. In that way, these standards are similar to how TCP/IP protocol is defined for network communication or how RS232 is defined for serial communication.
Earlier there was no standard process to handle the communication between a semiconductor equipment and a fab host. This used to lead in high cost and low performance in semiconductors industry. So this created a need for standard process to tackle this situation. That’s where the SEMI standards for SECS/GEM came into existence.
More details…
www.einnosys.com
info@einnosys.com
 

Saturday, December 8, 2018

FAB Automation Manufacturing Automation

FAB Automation | Assembly & Test Manufacturing Automation

eInnoSys staff has decades of extensive experience in Fab Automation of varying size and kind – from 4 inch GaAs or other compound semiconductor to 300 mm Silicon fabs as well as Packaging, Test/Assembly factories. Our team members have helped small R&D type fabs with old equipment to most advanced 300mm high volume  factories.
n addition to successful implementation of conventional automation on well over 300 equipment make and model, we have developed several hundred innovative applications to help factories with –
  • How to develop systems and reports that combine data collected from various equipment and other factory data sources such as MES, ERP, etc to drive efficiencies (Yield, Cycle Time, OEE, etc) in fabs/factories and reduce cost through innovative automation
  • How to collect data from and even download recipes to equipment that are not SECS/GEM capable
More Information...


Friday, December 7, 2018

SECS/GEM GUI Software

Our staff has been involved in automation using PLC/IO controllers since mid 1990s. They have been part of development team of some of the world’s best HMI, SCADA, Historian, MES and other automation software products.

OUR CONTROLLER/GUI SOFTWARE EXPERIENCE INCLUDE –

  • Developing controller/GUI software for multiple wafer processing, metrology and laser scribe equipment
  • Development of software for multi-­‐chamber, cluster equipment with multiple load ports and transport module
  • Experience developing software for high-­‐vac equipment with loadlock and transport module
  • First company in the industry to develop entire plasma etch equipment
  • software entirely from scratch in Android, with SECS/GEM

    PARTIAL LIST OF EQUIPMENT CONTROLLER SOFTWARE PORTFOLIO

    • Multi-­‐chamber plasma etch equipment with multiple load ports and a
    • transport module
    • Metrology equipment software to measure stress in the thin-­‐film based on
    • topography of the wafer
    • Laser scribe equipment


 


Wednesday, December 5, 2018

SECS GEM Improvement

Einnosys offers various solutions for Yield Improvement, ranging from simple barcode scanning of the lot boxes to very complex analysis of yield related issues by correlating end-to-end wafer data. Our team members have decades of experience in improving yield at all areas of Assembly, Test, Packaging factories and FABs. In addition to implementing complex yield improvement projects, our staff has published technical papers on how to use innovative, out-of-the-box automation to improve yield in factories.

Yield Management Solutions:-

  1. Host applications or station controllers that download and/or select recipes upon barcode or RFID scanning of lot boxes and remotely starting process.
  2. Collection and analysis of alarms, events and other critical process parameters from equipment through SECS/GEM or other means and correlating with other data such as that from MES or from other equipment 
  3. For Wafer FABs, correlating end-to-end wafer data: From epitaxial -> inline process <-> inline metrology<-> electrical testing <->Final Test
     
  4. Use feedback and feed-forward approach to feed metrology data to process equipment to improve yield 
  5. More Detail...



Monday, December 3, 2018

SECS GEM For Communication

eInnoSys offers SECS/GEM software solutions for equipment manufacturers (OEMs) as well as factories (FABs or ATMs). By integrating eInnoSys’ EIGEMEquipment plug-n-play software with equipment controller software of an equipment, OEMs can significantly reduce the cost and time it takes to make their equipment SECS/GEM capable.
Likewise, EIGEMHost is a SECS/GEM software for FABs and ATMs (Assembly and Test Manufacturing) to communicate with various equipment in the factory. EIGEMSim is a simulator software for SECS/GEM testing. It is a software that can be configured as host or equipment to test SECS/GEM communication of the other. 

SECS describe the communication between a host computer and the equipment using a single connection. In the original concept and even today in the most common scenario, the equipment provides a single SECS interface for exclusive use by a single host. The message types defined by SECS are partially asymmetric – some message types are defined only for host use, others

are defined only for equipment, but also many of them are defined for the same use by either side.  


 


Tuesday, November 27, 2018

SECS Mobile Monitor

Mobile monitor enables monitoring of critical equipment parameters on your mobile device. It is a product for equipment manufacturers and refurbishes to customize and license it to their Fab customers.

 

Benefits:-

-Allows remote monitoring of critical parameters on mobile devices

-Prevents sim-processing of lots and extended equipment down time

-Improves engineering efficiency

-Works on most platforms – iOS, Android, Windows & Blackberry

-Auto refresh at defined interval

-Easy to setup


 

Monday, November 26, 2018

SECS GEM MANUAL & SIMULATOR

Areas of Equipment Software Expertise

  • GEM Automation – SEMI compliant SECS/GEM Implementation
  • Equipment Controller/GUI software Development or Maintenance
  • Integration of sub-systems such as EFEM, robot, PLC, RFID and other devices/sensors
  • Image Processing
  • Data Collection and Analysis
  • Custom module development
    eInnoSys is pioneer in automation on mobile platform and is one of the handful companies in the world having successfully designed equipment controller from scratch in Android, which is quite challenging since Android is not a real-time OS.
    In addition to consulting, software development and integration services, we offer the following products out-of-the-box.
    • EIGEMEquipment: SEMI complaint SECS/GEM software for equipment
    • EIMobileMonitor: Allows monitoring critical equipment or recipe parameters on mobile device within factory network
    • EIGEMSim: Host or Equipment simulator software for SECS/GEM communication testing
    • EIModbusLib: Java based Modbus library that can run on multiple platforms – Windows, Linux or Android

Tuesday, November 20, 2018

FAB Automation | Assembly & Test Manufacturing Automation

Our automation staff includes seasoned Industrial Engineers with deep understanding of semiconductor wafer processing, packaging, test and assembly processes and we design custom solutions, where needed to help you address your factory’s challenges or issues.

eInnoSys also offers following out-of-the-box systems for Fabs:
  • EIGEMHost : SECS/GEM software for factory hosts
  • EIGEMSim : Equipment and host simulator software for SECS/GEM testing
  • EIRMS : Recipe Management System – Manages recipes, including versions and audit trail of recipe changes across different equipment types
  • EIAMS : Alarm Management System – Taking automatic actions (user defined) as soon as an alarms occur on equipment, reporting and much more
  • EIPartsManager : Spare Parts Management System – Helps manage spare parts cost, inventory and life-cycle.
  • EIBarcodeGuardian : Prevents wrong pour of chemicals and manages chemical inventory in the fab
  • EIQRSTS :- Reticle/Quartz/Sapphire Tracker – Helps tracking reticles/quartz/sapphire and other precious resources in the factory
  • EIMWA : Manual Wetbench Automation – Prevents misprocessing of wafers due to wrong bath dips on manual wetbenches without expensive upgrade


Monday, November 19, 2018

SEMI PV2 (Photovoltaic Manufacturing)

The EIS TF(European Equipment Interface Specification Task Force ) decided to incorporate the already existing SEC/GEM standards in the Semiconductor Industry as the base for host communication through PV industry. This is the time when SEMI PV2 standard came into existence.

SEMI PV2 is the standard that defines a common equipment communication interface for PV (Photovoltaic Manufacturing) production system and gives various benefits to the PV industry.

This standard was developed by European Equipment Interface Specification Task Force (EIS TF) to minimize the efforts put in by the equipment suppliers to develop and maintain various equipment communication interfaces and to create a strong base for deploying advance factory management and to control software systems.

Equipment integration was a very costly and time consuming affair before this standard was implemented in equipment production industry.

With the implementation of this Standard both the manufacturers and the suppliers will be benefited in the following ways:

  • Manufacturers need not specify ,test and integrate specific interfaces to ensure IT functionality at the factory level

  • Suppliers need not implement and maintain customer specific interface

  • Shorter break down time as there would standard IT integration process

  • As the processes are standardize, thus will lead to yield improvement

  • Simplified requirement specification and testing as the testing can designed in a generic way for all the interfaces

  • This will lead to increased potential cost saving as the manufacturers’ are in better position to analysis integration risk and efforts.

This standard outlines one of the best practices which will help in great value addition to the semiconductor and PV industry.